約 6,423,538 件
https://w.atwiki.jp/speculativemedia/
"We need to transcend the de facto dichotomy of empiricism and rationalism that is immanent in embedded and embodied entities. Hybrid media is one trajectory to make a rapture for becoming or to draw a line of flight that breaks the mold of the preconceived hylomorphic notion of existentialism, but there are still uncharted territories and new areas for creative exploration, particularly in terms of the affect-driven epistemology of perception." Ryota Matsumoto As an artist, designer, and architect, Ryota Matsumoto is internationally recognized as one of the progenitors of the postdigital art movement. Born in Tokyo, he was raised in Hong Kong and Japan. He received a Master of Architecture degree from University of Pennsylvania in 2007 after his studies at Architectural Association in London and Mackintosh School of Architecture, Glasgow School of Art in early 90’s. Over the years, he has studied with Manuel DeLanda, Vincent Joseph Scully Jr., Cecil Balmond, and Giancarlo De Carlo, among others. Matsumoto has previously collaborated with a cofounder of the Metabolist Movement, Kisho Kurokawa, and with Arata Isozaki, Peter Christopherson, and MIT Media Lab. Matsumoto's multidisciplinary projects have been exhibited recently at Meadows Gallery University of Texas at Tyler, S. Tucker Cooke Gallery University of North Carolina Asheville, Sebastopol Center for the Arts, National Museum of Korea, Czong Institute for Contemporary Art, Van Der Plas Gallery, ArtHelix Gallery, Caelum Gallery, Limner Gallery, the Cello Factory, University of the District of Columbia, Lux Art Gallery, Studio Montclair, Manifest Gallery, Tenerife Espacio de las Artes, Art Basel Miami, ISEA International, FILE Sao Paulo, Nook Gallery, and Arts and Heritage Centre Altrincham. He had solo exhibitions at BYTE Gallery Transylvania University (2015), Los Angeles Center of Digital Art (2016) and Alviani ArtSpace, Pescara (2017). https //www.ryotamatsumotostudio.com 美術 デザイン アーバニズム
https://w.atwiki.jp/cadencii_en/pages/52.html
English 日本語 Release Note Release Date 1 Jun, 2009 Notes Cadencii requires ".NET Framework Runtime(version 2.0 or later)" and "Visual C++ Library DLLs". Installers of these rumtimes are available from the links below. .NET Framework Runtime Download .NET Framework 3.5 SP1 Visual C++ Library DLL Microsoft Visual C++ 2008 Redistributable Package (x86) Cadencii can be launched with the latest version of mono. This enable you to use Cadencii with many platforms supported by mono. (Note Several functions using VOCALOID2 VSTi are not available in this case.) Mono is available from the link mono download Download Cadencii version 2.0.1 (565KB) CadenciiSDK version 2.0 (455KB) How to get source codes Source code is available on SourceForege.JP. Please follow the instruction below for checking out the SourceForge.JP s SVN repository. svn checkout -r 216 http //svn.sourceforge.jp/svnroot/cadencii/branches/2.0 ./ These svn command is for checiking out "THIS" version of Cadencii. In order to get the latest source codes, please remove "-r" option.
https://w.atwiki.jp/touhoukashi/pages/4211.html
【登録タグ C CREATED WORLD 偶像に世界を委ねて ~ Idoratrize World 曲 発熱巫女~ず】 【注意】 現在、このページはJavaScriptの利用が一時制限されています。この表示状態ではトラック情報が正しく表示されません。 この問題は、以下のいずれかが原因となっています。 ページがAMP表示となっている ウィキ内検索からページを表示している これを解決するには、こちらをクリックし、ページを通常表示にしてください。 /** General styling **/ @font-face { font-family Noto Sans JP ; font-display swap; font-style normal; font-weight 350; src url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2972/10/NotoSansCJKjp-DemiLight.woff2) format( woff2 ), url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2972/9/NotoSansCJKjp-DemiLight.woff) format( woff ), url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2972/8/NotoSansCJKjp-DemiLight.ttf) format( truetype ); } @font-face { font-family Noto Sans JP ; font-display swap; font-style normal; font-weight bold; src url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2972/13/NotoSansCJKjp-Medium.woff2) format( woff2 ), url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2972/12/NotoSansCJKjp-Medium.woff) format( woff ), url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2972/11/NotoSansCJKjp-Medium.ttf) format( truetype ); } rt { font-family Arial, Verdana, Helvetica, sans-serif; } /** Main table styling **/ #trackinfo, #lyrics { font-family Noto Sans JP , sans-serif; font-weight 350; } .track_number { font-family Rockwell; font-weight bold; } .track_number after { content . ; } #track_args, .amp_text { display none; } #trackinfo { position relative; float right; margin 0 0 1em 1em; padding 0.3em; width 320px; border-collapse separate; border-radius 5px; border-spacing 0; background-color #F9F9F9; font-size 90%; line-height 1.4em; } #trackinfo th { white-space nowrap; } #trackinfo th, #trackinfo td { border none !important; } #trackinfo thead th { background-color #D8D8D8; box-shadow 0 -3px #F9F9F9 inset; padding 4px 2.5em 7px; white-space normal; font-size 120%; text-align center; } .trackrow { background-color #F0F0F0; box-shadow 0 2px #F9F9F9 inset, 0 -2px #F9F9F9 inset; } #trackinfo td ul { margin 0; padding 0; list-style none; } #trackinfo li { line-height 16px; } #trackinfo li nth-of-type(n+2) { margin-top 6px; } #trackinfo dl { margin 0; } #trackinfo dt { font-size small; font-weight bold; } #trackinfo dd { margin-left 1.2em; } #trackinfo dd + dt { margin-top .5em; } #trackinfo_help { position absolute; top 3px; right 8px; font-size 80%; } /** Media styling **/ #trackinfo .media th { background-color #D8D8D8; padding 4px 0; font-size 95%; text-align center; } .media td { padding 0 2px; } .media iframe nth-of-type(n+2) { margin-top 0.3em; } .youtube + .nicovideo, .youtube + .soundcloud, .nicovideo + .soundcloud { margin-top 0.75em; } .media_section { display flex; align-items center; text-align center; } .media_section before, .media_section after { display block; flex-grow 1; content ; height 1px; } .media_section before { margin-right 0.5em; background linear-gradient(-90deg, #888, transparent); } .media_section after { margin-left 0.5em; background linear-gradient(90deg, #888, transparent); } .media_notice { color firebrick; font-size 77.5%; } /** Around track styling **/ .next-track { float right; } /** Infomation styling **/ #trackinfo .info_header th { padding .3em .5em; background-color #D8D8D8; font-size 95%; } #trackinfo .infomation_show_btn_wrapper { float right; font-size 12px; user-select none; } #trackinfo .infomation_show_btn { cursor pointer; } #trackinfo .info_content td { padding 0 0 0 5px; height 0; transition .3s; } #trackinfo .info_content ul { padding 0; margin 0; max-height 0; list-style initial; transition .3s; } #trackinfo .info_content li { opacity 0; visibility hidden; margin 0 0 0 1.5em; transition .3s, opacity .2s; } #trackinfo .info_content.infomation_show td { padding 5px; height 100%; } #trackinfo .info_content.infomation_show ul { padding 5px 0; max-height 50em; } #trackinfo .info_content.infomation_show li { opacity 1; visibility visible; } #trackinfo .info_content.infomation_show li nth-of-type(n+2) { margin-top 10px; } /** Lyrics styling **/ #lyrics { font-size 1.06em; line-height 1.6em; } .not_in_card, .inaudible { display inline; position relative; } .not_in_card { border-bottom dashed 1px #D0D0D0; } .tooltip { display flex; visibility hidden; position absolute; top -42.5px; left 0; width 275px; min-height 20px; max-height 100px; padding 10px; border-radius 5px; background-color #555; align-items center; color #FFF; font-size 85%; line-height 20px; text-align center; white-space nowrap; opacity 0; transition 0.7s; -webkit-user-select none; -moz-user-select none; -ms-user-select none; user-select none; } .inaudible .tooltip { top -68.5px; } span hover + .tooltip { visibility visible; top -47.5px; opacity 0.8; transition 0.3s; } .inaudible span hover + .tooltip { top -73.5px; } .not_in_card span.hide { top -42.5px; opacity 0; transition 0.7s; } .inaudible .img { display inline-block; width 3.45em; height 1.25em; margin-right 4px; margin-bottom -3.5px; margin-left 4px; background-image url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2971/7/Inaudible.png); background-size contain; background-repeat no-repeat; } .not_in_card after, .inaudible .img after { content ; visibility hidden; position absolute; top -8.5px; left 42.5%; border-width 5px; border-style solid; border-color #555 transparent transparent transparent; opacity 0; transition 0.7s; } .not_in_card hover after, .inaudible .img hover after { content ; visibility visible; top -13.5px; left 42.5%; opacity 0.8; transition 0.3s; } .not_in_card after { top -2.5px; left 50%; } .not_in_card hover after { top -7.5px; left 50%; } .not_in_card.hide after { visibility hidden; top -2.5px; opacity 0; transition 0.7s; } /** For mobile device styling **/ .uk-overflow-container { display inline; } #trackinfo.mobile { display table; float none; width 100%; margin auto; margin-bottom 1em; } #trackinfo.mobile th { text-transform none; } #trackinfo.mobile tbody tr not(.media) th { text-align left; background-color unset; } #trackinfo.mobile td { white-space normal; } document.addEventListener( DOMContentLoaded , function() { use strict ; const headers = { title アルバム別曲名 , album アルバム , circle サークル , vocal Vocal , lyric Lyric , chorus Chorus , narrator Narration , rap Rap , voice Voice , whistle Whistle (口笛) , translate Translation (翻訳) , arrange Arrange , artist Artist , bass Bass , cajon Cajon (カホン) , drum Drum , guitar Guitar , keyboard Keyboard , mc MC , mix Mix , piano Piano , sax Sax , strings Strings , synthesizer Synthesizer , trumpet Trumpet , violin Violin , original 原曲 , image_song イメージ曲 }; const rPagename = /(?=^|.*
https://w.atwiki.jp/minami-tohoku-jin/
ようこそ、Nasu Life Blog @ Wiki へ Tochigi圏Nasu付近から「あなたのお気に入りの音楽を探す手助け」をお送りします。 好きな音楽、那須高原で見つけたフェイヴァリットなお店(やらせなし)の紹介を、スコブル不定期に更新していきます。 右のメニューから、適当にサイトを徘徊してみてください。
https://w.atwiki.jp/touhoukashi/pages/3036.html
【登録タグ B DOWN DOWN DOLL -to the beginning 07- Stack メイドと血の懐中時計 暁Records 曲 月時計 ~ ルナ・ダイアル】 【注意】 現在、このページはJavaScriptの利用が一時制限されています。この表示状態ではトラック情報が正しく表示されません。 この問題は、以下のいずれかが原因となっています。 ページがAMP表示となっている ウィキ内検索からページを表示している これを解決するには、こちらをクリックし、ページを通常表示にしてください。 /** General styling **/ @font-face { font-family Noto Sans JP ; font-display swap; font-style normal; font-weight 350; src url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2972/10/NotoSansCJKjp-DemiLight.woff2) format( woff2 ), url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2972/9/NotoSansCJKjp-DemiLight.woff) format( woff ), url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2972/8/NotoSansCJKjp-DemiLight.ttf) format( truetype ); } @font-face { font-family Noto Sans JP ; font-display swap; font-style normal; font-weight bold; src url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2972/13/NotoSansCJKjp-Medium.woff2) format( woff2 ), url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2972/12/NotoSansCJKjp-Medium.woff) format( woff ), url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2972/11/NotoSansCJKjp-Medium.ttf) format( truetype ); } rt { font-family Arial, Verdana, Helvetica, sans-serif; } /** Main table styling **/ #trackinfo, #lyrics { font-family Noto Sans JP , sans-serif; font-weight 350; } .track_number { font-family Rockwell; font-weight bold; } .track_number after { content . ; } #track_args, .amp_text { display none; } #trackinfo { position relative; float right; margin 0 0 1em 1em; padding 0.3em; width 320px; border-collapse separate; border-radius 5px; border-spacing 0; background-color #F9F9F9; font-size 90%; line-height 1.4em; } #trackinfo th { white-space nowrap; } #trackinfo th, #trackinfo td { border none !important; } #trackinfo thead th { background-color #D8D8D8; box-shadow 0 -3px #F9F9F9 inset; padding 4px 2.5em 7px; white-space normal; font-size 120%; text-align center; } .trackrow { background-color #F0F0F0; box-shadow 0 2px #F9F9F9 inset, 0 -2px #F9F9F9 inset; } #trackinfo td ul { margin 0; padding 0; list-style none; } #trackinfo li { line-height 16px; } #trackinfo li nth-of-type(n+2) { margin-top 6px; } #trackinfo dl { margin 0; } #trackinfo dt { font-size small; font-weight bold; } #trackinfo dd { margin-left 1.2em; } #trackinfo dd + dt { margin-top .5em; } #trackinfo_help { position absolute; top 3px; right 8px; font-size 80%; } /** Media styling **/ #trackinfo .media th { background-color #D8D8D8; padding 4px 0; font-size 95%; text-align center; } .media td { padding 0 2px; } .media iframe nth-of-type(n+2) { margin-top 0.3em; } .youtube + .nicovideo, .youtube + .soundcloud, .nicovideo + .soundcloud { margin-top 0.75em; } .media_section { display flex; align-items center; text-align center; } .media_section before, .media_section after { display block; flex-grow 1; content ; height 1px; } .media_section before { margin-right 0.5em; background linear-gradient(-90deg, #888, transparent); } .media_section after { margin-left 0.5em; background linear-gradient(90deg, #888, transparent); } .media_notice { color firebrick; font-size 77.5%; } /** Around track styling **/ .next-track { float right; } /** Infomation styling **/ #trackinfo .info_header th { padding .3em .5em; background-color #D8D8D8; font-size 95%; } #trackinfo .infomation_show_btn_wrapper { float right; font-size 12px; user-select none; } #trackinfo .infomation_show_btn { cursor pointer; } #trackinfo .info_content td { padding 0 0 0 5px; height 0; transition .3s; } #trackinfo .info_content ul { padding 0; margin 0; max-height 0; list-style initial; transition .3s; } #trackinfo .info_content li { opacity 0; visibility hidden; margin 0 0 0 1.5em; transition .3s, opacity .2s; } #trackinfo .info_content.infomation_show td { padding 5px; height 100%; } #trackinfo .info_content.infomation_show ul { padding 5px 0; max-height 50em; } #trackinfo .info_content.infomation_show li { opacity 1; visibility visible; } #trackinfo .info_content.infomation_show li nth-of-type(n+2) { margin-top 10px; } /** Lyrics styling **/ #lyrics { font-size 1.06em; line-height 1.6em; } .not_in_card, .inaudible { display inline; position relative; } .not_in_card { border-bottom dashed 1px #D0D0D0; } .tooltip { display flex; visibility hidden; position absolute; top -42.5px; left 0; width 275px; min-height 20px; max-height 100px; padding 10px; border-radius 5px; background-color #555; align-items center; color #FFF; font-size 85%; line-height 20px; text-align center; white-space nowrap; opacity 0; transition 0.7s; -webkit-user-select none; -moz-user-select none; -ms-user-select none; user-select none; } .inaudible .tooltip { top -68.5px; } span hover + .tooltip { visibility visible; top -47.5px; opacity 0.8; transition 0.3s; } .inaudible span hover + .tooltip { top -73.5px; } .not_in_card span.hide { top -42.5px; opacity 0; transition 0.7s; } .inaudible .img { display inline-block; width 3.45em; height 1.25em; margin-right 4px; margin-bottom -3.5px; margin-left 4px; background-image url(https //img.atwikiimg.com/www31.atwiki.jp/touhoukashi/attach/2971/7/Inaudible.png); background-size contain; background-repeat no-repeat; } .not_in_card after, .inaudible .img after { content ; visibility hidden; position absolute; top -8.5px; left 42.5%; border-width 5px; border-style solid; border-color #555 transparent transparent transparent; opacity 0; transition 0.7s; } .not_in_card hover after, .inaudible .img hover after { content ; visibility visible; top -13.5px; left 42.5%; opacity 0.8; transition 0.3s; } .not_in_card after { top -2.5px; left 50%; } .not_in_card hover after { top -7.5px; left 50%; } .not_in_card.hide after { visibility hidden; top -2.5px; opacity 0; transition 0.7s; } /** For mobile device styling **/ .uk-overflow-container { display inline; } #trackinfo.mobile { display table; float none; width 100%; margin auto; margin-bottom 1em; } #trackinfo.mobile th { text-transform none; } #trackinfo.mobile tbody tr not(.media) th { text-align left; background-color unset; } #trackinfo.mobile td { white-space normal; } document.addEventListener( DOMContentLoaded , function() { use strict ; const headers = { title アルバム別曲名 , album アルバム , circle サークル , vocal Vocal , lyric Lyric , chorus Chorus , narrator Narration , rap Rap , voice Voice , whistle Whistle (口笛) , translate Translation (翻訳) , arrange Arrange , artist Artist , bass Bass , cajon Cajon (カホン) , drum Drum , guitar Guitar , keyboard Keyboard , mc MC , mix Mix , piano Piano , sax Sax , strings Strings , synthesizer Synthesizer , trumpet Trumpet , violin Violin , original 原曲 , image_song イメージ曲 }; const rPagename = /(?=^|.*
https://w.atwiki.jp/azuma3733/pages/1162.html
#blognavi ~一般サイトニュース~ http //ruce.blog5.fc2.com/blog-entry-2333.html ■けいおん!OP EDは今週もMステで上位でした・・・強すぎです 普通に強いなぁ。 http //yunakiti.blog79.fc2.com/blog-entry-2858.html ■『MステシングルCDランキング』3週目にしてテロップに「けいおん!」と表示 テロップまでついたのか!!! http //mudainodqnment.blog35.fc2.com/blog-entry-828.html ■地元の和菓子祭りのキャラクターアウト過ぎワロタwwwwwwwwww アウトーー!!!! http //urasoku.blog106.fc2.com/blog-entry-685.html ■東京のやつらwww超ぶっとい虹が出てるwwwwwwwww 根元すごいなぁ。何かの前触れじゃなきゃいいですが。 ~ニコニコ動画~ http //www.nicovideo.jp/watch/sm6982583 ■ホロホロキャニオン (狼と香辛料×サンドキャニオン) 久々のホロホロktkr!出口が見つかりません^^ http //www.nicovideo.jp/watch/sm6979631 ■ゴマちゃんできゅっきゅっきゅっニャー! かわいすぎるwwwなつかしすぎるwww http //www.nicovideo.jp/watch/sm6777248 ■【みなみけ】NATSUKI ZONE【RED ZONE】 なつきひでぇwwwww素晴らしいMAD素材だなほんとwwww http //www.nicovideo.jp/watch/sm6996315 ■自動ルイージ チルノのパーフェクトさんすう教室 これほんとすごいなwwwwwww合いすぎです!!! http //www.nicovideo.jp/watch/sm6988179 ■海原YOU☆斬のパーフェクトツンデレ教室(音声のみバージョン) これはひどいwww手書きフラグですね!すごいメンバーだwww http //www.nicovideo.jp/watch/sm7007690 ■インド人の消失-Buddha End- 誰得wwww歌ってみろwwwwどうすんだよこれwwww ~京アニ関連~ http //www.nicovideo.jp/watch/nm6983490 ■けいおん! ふわふわ時間 枯れてるのに慣れると物足りなさすら感じてしまうけどガチで綺麗ですw http //www.nicovideo.jp/watch/sm6995571 ■【けいおん!】田井中律のグルメレースデラックス この発想は素晴らしい・・・!!!w http //www.nicovideo.jp/watch/sm6997701 ■【星のカービィ】グラスバンド(りつ)【けいおん!】 リッチャンハカワイイデスヨ!これはまたループがこわい^^ ~歌って・演奏して・作ってみた~ http //www.nicovideo.jp/watch/nm6982297 ■(V)・∀・(V)とキノコと5月病 - rawest forest - 一時停止推奨しまくり。2分半なのに倍以上かかるw http //www.nicovideo.jp/watch/sm6991215 ■【ギター】おたずね者との決戦を弾いてみた【FF×MM】 いやもうすごすぎて意味が分からない( しれっとなんで出来るんだ!!! http //www.nicovideo.jp/watch/sm6957238 ■【ヲタ姐】magnet コラボで歌ってみた【Nimo】 ルカ側のお姉さんっぷりがぱねぇっす!!!ミク側は後半になるにつれどんどんキます。 http //www.nicovideo.jp/watch/sm6983090 ■「letter song」を歌ってみた by that 流石thatというべきですね。綺麗すぎます。 http //www.nicovideo.jp/watch/sm6973512 ■チルノのパー(ryをメタル(ryを弾いて(ryを歌って(疲れ(ry これはひどいwww疲れた男さんがんばりすぎだwwww ~東方関係~ http //www.nicovideo.jp/watch/sm6997580 ■志.々雄真実が幻想入り 第2話 ☆今日の一押し☆ 続編キター!うにゅほかわいいよー!お空気^^しゃべらせてあげて!!!理想と現実だいぶ違うね! しかし話の作り方がうますぎる!無意識ツエーwwwかわいすぎるwww http //www.nicovideo.jp/watch/sm6992539 ■【東方】東方キャラ達を無計画に一発描きしてみた【手描き】 これがラクガキ・・・だと・・・? http //www.nicovideo.jp/watch/nm6974257 ■【東方】とんちんかんちん霊夢さん さすがゆかれむの人。なんだこのスキマは!!!? http //www.nicovideo.jp/watch/sm6971197 ■東方オフスプ『橙のおつかい・フルカラー』 フルカラーだと・・・?お姉さんだと・・・?\LOVE☆LOVE☆橙!/ http //www.nicovideo.jp/watch/sm6970453 ■【東方】すわこさんはしたない とりあえず、ばんざいしてみようか? ってまさかのジャスティスwwww http //www.nicovideo.jp/watch/sm6999172 ■【東方】 smooooCHeeeeN>ワ< 【母の日合作】 とりあえずいえること。ちぇえええええええええええええええん!!!!! http //www.nicovideo.jp/watch/nm7000928 ■【東方】萃香のお酒紹介コーナー【捌物】 いい鬼っぷりだw今回のお酒はムリすぎる・・・。そして普通に見たい24時www http //www.nicovideo.jp/watch/sm7002320 ■基本的にむちましい感じの東方劇場【その2】 むちましい人キター!う~☆ やはり忠誠心は鼻から出る http //www.nicovideo.jp/watch/sm6984028 ■【東方】きゅっきゅっきゅ・・・ギャー師匠なにを(ry【RED_ZONE】 あ、あれ?見る動画間違えた? http //www.nicovideo.jp/watch/sm6981332 ■【東方】紅魔館にモケーレがやってきた 遭遇 モケーレ続きktkr!妹様かわいい!ケロちゃんパネェっす!!おぜうさま寝癖やばいっす!キャーイクサーン http //www.nicovideo.jp/watch/sm6981079 ■ショートコント第03話 『暇をもてあました少女達の遊び』 中国かわえーw幻想郷\(^o^)/新世界ハジマタ\(^o^)/ http //www.nicovideo.jp/watch/sm7001593 ■ショートコント第04話 『つれたつれた』 いろいろひどいwwwアリスなむ^^ http //www.nicovideo.jp/watch/sm6977516 ■【手書き東方】ぽかぽかめーりん【EDパロ】 和み度ハンパねぇっす・・・! http //www.nicovideo.jp/watch/sm6666660 ■藍しゃまー 俺の藍様がゲシュタルト崩壊で専用です(? http //www.nicovideo.jp/watch/sm6996420 ■コッソリ支援~東方陰陽鉄OP? カカカカッ! かっこいいわー。ルーミア超ヒロイン!!! http //www.nicovideo.jp/watch/sm7003058 ■咲夜さんが殴るだけ そりゃあんなことしたらねぇ(体温計的な意味で ~VOC@LOID~ http //www.nicovideo.jp/watch/sm6977183 ■【巡音ルカ】 迷的サイバネティックス 【オリジナル】 ま、町屋さんに釣られてなんかいないんだからね・・・!流石の調教だ。。。 http //www.nicovideo.jp/watch/sm6969855 ■ARToolKitで初音ミク Act2 : GO MY WAY!! ほんと恐ろしいクオリティですねこれ。 http //www.nicovideo.jp/watch/sm6994828 ■うちのボカロ大人組で「やさしさに包まれたなら」を混声4部合唱 なんという調教・・・素晴らしい・・・。 ~アイドルマスター~ http //www.nicovideo.jp/watch/sm6976974 ■【アイドルマスター】おっきな双海亜美・真美を彫る もっころいどすごすぎるよ!ねんどろいどぷち→もっころいどぷちですね。 ダンボールはダンボールであみまみっぽいのがもうねw http //www.nicovideo.jp/watch/sm7002483 ■YAYOI ZONE 完全にゾーン入ってるわこれ!9393テクニッくっ! カテゴリ [ニュース日記] - trackback- 2009年05月12日 05 04 11 #blognavi
https://w.atwiki.jp/solomonskeep/pages/45.html
Solomon s Keepがバージョン3.0にアップデートされました。 公式のフォーラムから転載です。 暇なときに翻訳します。 Hi all, Been working hard, and am planning to submit 3.0 to Apple tomorrow if the beta testers don t find anything nasty on the last build... Here s what ended up in SK 3.0 o An "addons" button has been added to the main screen. As I expand the game at this point, some people might not want some features, and some features will be in-app purchases, so major game-changer, even if free, will be available in the addons panel). タイトル画面ににaddonsボタンが追加されました。ゲームの拡張に関して、一部の人には不要かもしれませんし、また一部要素は購入品になったので、(無料のものを含めて)大きな変更要素はaddonsパネルで利用できます。 o The Amazing Cabinet has been added to the game! (You can enable it, for free, in the addons panel) 「Amazing Cabinet」がゲームに追加されました。addonsパネルから無料で有効化出来ます。 o Damage resistance has been capped at 95% ダメージ軽減は95%までになりました。 o Poison arrows will no longer poison through magic shield 毒矢はMAGIC SHIELDを無視しなくなりました。 o A Discuss button in setup will take you right to the Raptisoft forums where you can ask questions. (If you re on a mobile device, it will take you to the mobile version of the forums) Discussボタンで、質問などが出来るRaptisoftの掲示板に飛べます。 o A new potion has been added, which does 4x damage for 30 seconds. It s expensive, and only occasionally in the store, but could mean the difference between life and death! 30秒間の間ダメージが4倍になるポーションが新しく追加されました。高価なうえ時々しかSHOPに並びませんが、それが生死を分ける事もあるでしょう。 o All awesomeness high scores are now reset! There is a new awesomeness scoring system in place that makes your awesomeness score better reflect how toe-curlingly awesome you really are. I ll post details on how this works elsewhere. awesomenessのハイスコアはリセットされました。新しいawesomenessの計算方法は、実際の所どのくらい凄いのかを的確に反映するようになります。 o Facebook has been removed, because so many people were having facebook problems. 問題を抱えている人が多かったようなので、Facebookは削除しました。 o When you kill Solomon, you will immediately level up. Solomon撃破時、レベルが上がるようになりました。 o Frost magic now is available as a 99 cent addon! Frost magic can weld to form Frost Missile, Steam, and Blizzard Beam, and a new cold-based secondary spell is added, meaning five new offensive spells. Frost magicが115円のアドオンになりました。Frost magicはFrost Missile, Steam, Blizzard Beamに合成でき、新たに氷系のSECONDARY SKILLSが追加になり、計5つの攻撃系スペルが増えた事になります。 o Meditating now also speeds the recovery of secondary skills MEDITATIONはSECONDARY SKILLSのチャージ時間も短縮するようになりました。 o Demigod difficulty has been added after Archmage, with appropriate feats. Demigod Difficulty is very, very difficult! 難易度Archmageの後に難易度Demigod(とそのFEATS)が追加されました。難易度Demigodは超難しいですよ! o Max player level has been raised from 57 to 75 レベル上限が57から75にあがりました。 o Having the creativity skill reduces all skill level requirements by 7 (i.e. if a skill is only available above level 25, creativity makes it available at level 18) CREATIVITYを習得していると、全てのスキルの必要レベルが7下がります。(例えば、レベル25必要なスキルはレベル18から習得可能になります) o The spell caps on all four offensive spells have been raised to 20 (with a maximum of 25 with items) to assist in the greater difficulty offered by Demigod. 難易度Demigodに合わせて、4つのPRIMARY SPELLSのレベル上限は20(ITEMによるブースト込みで25)にあがりました。 o Boss Monsters now scale up their attack tactics on higher difficulties (increased speed and frequency). You will earn your awesomeness. 上位の難易度では、ボスの攻撃の速度と頻度が上がりました。awesomenessが稼げるでしょう。 o The display of skills in the character sheet has been cleaned up to group related skills together. ステータスのスキル画面で、スキルの表示は関係のあるスキル同士のグループに整頓されました。 o Ring of Fire and Acid Rain can be upgraded five levels further after you pass level 20. キャラクターLvが20を超えると、RING OF FIREとACID RAINはさらに5レベル強化できるようになります。 o Magic Shield can be upgraded two levels further after you pass level 25 キャラクターLvが25を超えると、MAGIC SHIELDはさらに2レベル強化できるようになります。 o Siege Mage s skill cap has been increased two levels SIEGE MAGEのレベル上限は2上がりました。 o A mana-less fireball now looks different than a full-strength fireball MANA切れ時のFIRE BALLのグラフィックは通常のと変わりました。 o Elemental Enhancement Spells You say there s no good reason not to weld? Well now there is. All four primary attacks have their own "elemental focus" spell which don t get welded in, and which are available after player level 30 Elemental Maximum spells require that you have a skill point in all the sub-skills of the elemental spell. 現状では、合成魔法使わない理由が無いですよね。そこで基本の4つのMAGICに、その属性に特化した Elemental Enhancement Spellsを追加しました。キャラクターLvが30以上で、合成していないMAGICでのみ機能します。また、そのMAGICのサブスキルを全て取得しておく必要があります。 o A 99 cent addon has been added that lets you select a different look for your wizard, and female wizards! (Sorry, all wizards are blue... it s the traditional color that the Wizard College drapes a surely doomed wizard in when he/she goes off on a deadly quest). 115円でプレイヤーキャラの見た目を変える事が出来るアドオンを追加しました。(すみません、色は全部青です。魔道士大学で決死の冒険に挑む者が着る伝統の色なのです。) o You can now donate, if you want to help fund further Raptisoft games. Donating will give you the option to submit your name to our sponsor list, which will be included in every single video game I ever put out henceforth. Incidentally, all in-app purchase monies and donations at this point will go into funding Solomon s Keep for Windows, which I hope to have out by Summer of 2011. It is *not* going to be a straight port of the iPhone version; it will be a complete rewrite to take advantage of using a mouse (for instance, setting different skills by mouse button for easy switching, and keyboard shortcuts). I also plan this same version, with minimal changes, to be ported to iPad, Mac, and iPhone 4. もしRaptisoftのゲームにもっとお金を出せるなら、寄付をすることが出来ます。寄付した場合、今後発売するゲームにスポンサーとして名前を載せる事も出来るようになります。ちなみに、すべてのアプリの購入代価と寄付は、Windows版Solomon s Keepの開発資金に使われます。2011年夏までにそれを出したいと思っています。それは、iPhone版のベタ移植ではありません。マウス操作にに合わせて完全に作り直します。(例えば、マウスボタンに異なるスキルをセットしたり、キーボードのショートカットを用意したり)このバージョンを最小限の変更でiPad、MacとiPhone4に移植する計画もあります。 Thanks all for your support, and I hope you enjoy the new features! I hope you will like the frost welds as well... they are Frost Jet + Magic Missile = frost missile (sort of like throwing around little snow tornadoes if you have Chill Wind) Frost Jet + Fireball = Steam Jet (sort of like frost jet except steam hangs around for a bit, continuing to hurt things. It also interacts with Acid Rain so that if you steam within acid rain, both do extra damage) Frost Jet + Lightning = Blizzard Beam (a disintegrating beam of lightning and hail that can be swept around the room). 名前 コメント
https://w.atwiki.jp/azuma3733/pages/1062.html
#blognavi ~一般サイトニュース~ http //blog.livedoor.jp/geek/archives/50795495.html ■「かなめも」アニメ化&「けいおん!1巻」幻のオビ かなめもがナニメ化!?マジで!? http //yunakiti.blog79.fc2.com/blog-entry-2112.html ■『ホワイトアルバム』 PC版 漫画版 アニメ版 PS3版 比較 昔は「え~・・・ら~・YOUかよ・・・」今「カワタさんヒャッホーイ!!!」 http //blog.livedoor.jp/dqnplus/archives/1210221.html ■『ドラクエ』シリーズの泣けるエピソードベスト3 泣ける系だとやっぱりⅤかなぁ。Ⅳの主人公もアレだけど。 http //www.gpara.com/article/cms_show.php?c_id=11337 c_num=14 ■平均合計額、知ってます? 小中学生のお年玉事情2009 私はありがたいことに平均以上いつも貰ってました。そのかわり小遣いなしですが。 http //workingnews.blog117.fc2.com/blog-entry-1734.html ■リアルアイドルマスターやってたけど質問ある? アニソンなどのCDは曲だけじゃないですからね。一般CDは曲聴ければいいや的なのがあるかも。 http //blog.livedoor.jp/hekky360/archives/647760.html ■はわわと言ったら別の人 ググったらまじでした。えぇー・・・。 http //alfalfa.livedoor.biz/archives/51421378.html ■【閲覧注意】死刑より辛く厳しい刑を考えるス 私がよく思うのは12・33ですね。世界中の人間がやればかなりの電力になりそうだから。 ~今日のオススメ~ http //www.nicovideo.jp/watch/sm5900429 ■涼宮ハルヒたんの憂鬱 クオリティあがりすぎでしょJK!!みくる黒いwww古泉がんばれwww長門はふつ・・・!? http //www.nicovideo.jp/watch/sm5891580 ■へんたい東方三週目5 前編 もこうまかんw今回は久々にへんたいです。登場人物がおかしいwww誰か心配しろよwww http //www.nicovideo.jp/watch/sm5883398 ■【へんたい東方】キャラクター人気投票二週目・結果発表【公認】 マジで乙すぎる編集です!まさかの19位w17位に負けたのかよ18位wまさかの12位www 9位まさかのwwwwww5位は流石、4位はmjd!?3位からブラクラ演出wwwよかったね!!! 2位はそうきたか!!!!!!!てことは・・・1位ヒャハーーーイ!!!!!!!!!!!!!!!! 紅魔組がやっぱ強いですねー。BGMもやっぱ気持ちいいなー。スタッフロールマジでお疲れです!! http //www.nicovideo.jp/watch/sm5892766 ■ぎゃらくしぃ東方 出wwwオwwwチwww病院逃げてーーーwwwプリーンはやられたwww意味不wwwwww http //www.nicovideo.jp/watch/sm5892170 ■紅い月が欠けるとき 2/4【東方手書き】 レミパチェがロリすぎますいいぞもっとや(ry イイハナシスギルー。おまけが本編ですね、わk(ry http //www.nicovideo.jp/watch/sm5892419 ■【初音ミク】庇護祭【PV】 もうアニメじゃないですか。公開はいつですか? ~ランキング~ http //www.nicovideo.jp/watch/sm5890905 ■週刊ニコニコランキング #89 (1月第3週) いきなり塩分の高いwww実況強いなー。まっくすすげぇ!保坂までwwwヒストリー繋がったw http //www.nicovideo.jp/watch/sm4164304 ■「?でわっしょい」のピッチを下げたら、光GENJIっぽかった。 ピックアップ。だいたいあってるwwww ~実況~ http //www.nicovideo.jp/watch/sm5894233 ■最終鬼畜ゲームを実況プレイ その8 【前編】 出オチですねwwwカタカタカタカタカタカタカタカタ。オチはですよねーwww http //www.nicovideo.jp/watch/sm5888231 ■マリオカートWii まったり実況プレイ Part23 ロリwwwwwみそにげてーwwwしかしまさかの没wwwコイケヤ会の予言すげぇwwwwwww http //www.nicovideo.jp/watch/sm5889634 ■マリオカートWii まったり実況プレイ Part24 たかひろくん覚醒してないwwwいな!さんおいしいなwww http //www.nicovideo.jp/watch/sm5891063 ■マリオカートWii まったり実況プレイ Part25 れいさんかわいいよ!いちゃいちゃ動画wwwコナミコマンド大成功!ぜんごんwww http //www.nicovideo.jp/watch/sm5895658 ■マリオカートはったり実況プレイ part2 【みやこ視点】 同じくらいロリコンということですねwwww ~京アニ関連~ http //www.nicovideo.jp/watch/sm5895700 ■【MAD】らき☆すた・オブ・イエー【ナイト・オブ・ナイツ】 いいカオスでした。とてもカオスでした。病院は逃げるべきです。技術の無駄遣い! ~東方関係~ http //www.nicovideo.jp/watch/sm5894153 ■手描き【みょんな星間飛行】完成版 なんてちちましいんだ・・・。 http //www.nicovideo.jp/watch/nm5896418 ■霊夢と魔理沙に着ぐるみ着せて行進させてみた これはモフりたい!!! ~歌って・演奏して・描いてみた~ http //www.nicovideo.jp/watch/sm5885622 ■【どM&どM子】 気分上々↑↑歌ってみた。【ひとりコラボ】 いやこれは可愛すぎやしないか!?ここまで綺麗なピッチ変更もすげぇ! カテゴリ [ニュース日記] - trackback- 2009年01月21日 07 27 42 #blognavi
https://w.atwiki.jp/dmori/pages/52.html
VPI(PLI2.0)を使う概要 参考資料 ソース テストベンチ 実行方法Cver NC-Verilog VCS ModelSim Veritak メモ VPI(PLI2.0)を使う 概要 Verilogから他言語の呼び出し Verilogでは出来ないor難しいことをVPIで実装 リファレンスモデル等、Verilog以外での実装が都合良いもの 参考資料 http //www.asic-world.com/verilog/pli6.html ソース 引数の数を数えて表示する "$count_args();"のとき、引数を0個とするか1個とするか、シミュレータによって差があるようだ。 count_args.c #include "vpi_user.h" #define NULL 0L int count_args(){ vpiHandle thisTask, argI, argH; int count = 0; thisTask = vpi_handle(vpiSysTfCall, NULL); if (vpi_chk_error(NULL)){ vpi_printf("ERROR Could not get a handle to the task/func!\n"); return(0); } argI = vpi_iterate(vpiArgument, thisTask); if (vpi_chk_error(NULL)){ vpi_printf("ERROR Could not get iterator for task/func arguments!\n"); return(0); } if (!argI){ vpi_printf("There are 0 arguments to the system task.\n"); return(0); } /* Step throught args */ while (argH = vpi_scan(argI)){ vpi_free_object(argH); count++; } vpi_printf("There are %i arguments to the system task.\n",count); return(0); } vpi_user.c シミュレータとのインターフェース、登録など #include stdio.h #include "vpi_user.h" #ifdef NCVERILOG #include "vpi_user_cds.h" #endif #ifdef CVER #include "cv_vpi_user.h" #endif #define FALSE 0 #define TRUE 1 extern int count_args(); static s_vpi_systf_data systfTestList[] = { { /* Attribute */ vpiSysTask ,/* int type vpiSysTask | vpiSysFunc */ 0 ,/* int sysfunctype vpiSysFuncInt|vpiSysFuncReal|vpiSysFuncTime|vpiSysFuncSise */ "$count_args",/* char *tfname Function Name ="\$[A-Za-z0-9_]+" */ count_args ,/* int (*calltf) (option) application routine */ 0 ,/* int (*compiletf) (option) calls once each time it compiles an instance */ 0 ,/* int (*sizetf) (option) */ 0 /* char *user_data (option) */ }, { 0 } }; void setup_test_callbacks() { p_vpi_systf_data systf_data_p = (systfTestList[0]); while(systf_data_p- type){ vpi_register_systf(systf_data_p++); if (vpi_chk_error(NULL)){ vpi_printf("Error occured while setting up user %s\n", "defined system tasks and functions."); return; } } } void (*vlog_startup_routines[])() = { setup_test_callbacks,/* */ 0 /* final entry must be 0 */ }; #ifdef CVER void vpi_compat_bootstrap(void){ int i; for (i = 0;; i++){ if (vlog_startup_routines[i] == NULL) break; vlog_startup_routines[i](); } } #endif テストベンチ test.v module top(I1); input I1; reg a; integer int; real r1; time t1 [31 0]; initial begin $count_args(a, int, r1, t1); $count_args(); $count_args; $count_args(a); end endmodule 実行方法 Cver gcc -g -I(gplcver-2.12aの場所)/pli_incs -c vpi_user.c count_args.c -DCVER gcc count_args.o vpi_user.o -shared --export-dynamic -o vpi.so cver +loadvpi=./vpi.so vpi_compat_bootstrap test.v 実行結果。 solaris(SPARC)は失敗する・・・。 % cver test.v +loadvpi=./vpi.so vpi_compat_bootstrapGPLCVER_2.12a of 05/16/07 (Sparc-Solaris).Copyright (c) 1991-2007 Pragmatic C Software Corp. All Rights reserved. Licensed under the GNU General Public License (GPL). See the 'COPYING' file for details. NO WARRANTY provided.Today is Wed Nov 26 16 33 23 2008. **ERROR** [1803] unable to load +loadvpi= dynamic library ld.so.1 cver 重大なエラー 再配置エラー ファイル ./vpi.so シンボル vpi_handle 参照シンボルが見つかりません。Compiling source file "test.v" **test.v(12) ERROR** [1083] task enable of unknown system task or undefined PLI task "$count_args" **test.v(13) ERROR** [1083] task enable of unknown system task or undefined PLI task "$count_args" **test.v(14) ERROR** [1083] task enable of unknown system task or undefined PLI task "$count_args" **test.v(15) ERROR** [1083] task enable of unknown system task or undefined PLI task "$count_args" **test.v(16) ERROR** [1061] statement structure end bracket problem - end read Unable to begin simulation. There were 6 error(s), 0 warning(s), and 0 inform(s).End of GPLCVER_2.12a at Wed Nov 26 16 33 23 2008 (elapsed 0.0 seconds).% linux(80386)は成功する。 Warningがでている。Cverは引数が無い場合に括弧あると、文句をいうようだ。 GPLCVER_2.12a of 05/16/07 (Linux-elf).Copyright (c) 1991-2007 Pragmatic C Software Corp. All Rights reserved. Licensed under the GNU General Public License (GPL). See the 'COPYING' file for details. NO WARRANTY provided.Today is Wed Nov 26 17 10 08 2008.Compiling source file "test.v"Highest level modules top **test.v(11) WARN** [633] system task enable $count_args(); has one empty argument - for no arguments omit the ()There are 4 arguments to the system task.There are 1 arguments to the system task.There are 0 arguments to the system task.There are 1 arguments to the system task.0 simulation events and 0 declarative immediate assigns processed.4 behavioral statements executed (1 procedural suspends). Times (in sec.) Translate 0.1, load/optimize 0.1, simulation 0.1. There were 0 error(s), 7 warning(s), and 7 inform(s).End of GPLCVER_2.12a at Wed Nov 26 17 10 08 2008 (elapsed 0.2 seconds). NC-Verilog gcc -c -g -I$CDS_INST_DIR/tools/include count_args.c vpi_user.c -DNCVERILOG gcc count_args.o vpi_user.o -shared --export-dynamic -o vpi.so ncverilog +loadvpi=./vpi setup_test_callbacks test.v ".so"は省略可みたい 実行結果。 ncverilog 06.11-s004 (c) Copyright 1995-2007 Cadence Design Systems, Inc.file test.v module worklib.top v errors 0, warnings 0 Caching library 'worklib' ....... Done Elaborating the design hierarchy Building instance overlay tables .................... Done Generating native compiled code worklib.top v 0x095a53b5 streams 1, words 124 Loading native compiled code .................... Done Building instance specific data structures. Design hierarchy summary Instances Unique Modules 1 1 Registers 4 4 Initial blocks 1 1 Writing initial simulation snapshot worklib.top vLoading snapshot worklib.top v .................... Donencsim source /usr2/cadence/simulator/IUS611_s004/tools/inca/files/ncsimrcncsim runThere are 4 arguments to the system task.There are 1 arguments to the system task.There are 0 arguments to the system task.There are 1 arguments to the system task.ncsim *W,RNQUIE Simulation is complete.ncsim exit VCS vcs -R -P count_args.tab count_args.c test.v +vpi -CFLAGS "-I$VCS_HOME/linux/lib" -DNCVERILOG +cli VCSの場合、tabファイルを使って呼び出します。vpi_user.c不要です。 count_args.tab $count_args call=count_args 実行結果。 Chronologic VCS (TM) Version Y-2006.06-SP1 -- Wed Nov 26 16 42 01 2008 Copyright (c) 1991-2006 by Synopsys Inc. ALL RIGHTS RESERVEDThis program is proprietary and confidential information of Synopsys Inc.and may be used and disclosed only as authorized in a license agreementcontrolling such use and disclosure. ***** Warning ACC/CLI capabilities have been enabled for the entire design. For faster performance enable module specific capability in pli.tab fileParsing design file 'test.v'Top Level Modules topNo TimeScale specifiedStarting vcs inline pass...1 module and 0 UDP read. However, due to incremental compilation, no re-compilation is necessary.make *** Warning File `filelist' has modification time in the future (2008-11-26 16 42 03 2008-11-26 16 42 02.143906)../simv up to datemake warning Clock skew detected. Your build may be incomplete.Chronologic VCS simulator copyright 1991-2005Contains Synopsys proprietary information.Compiler version Y-2006.06-SP1; Runtime version Y-2006.06-SP1; Nov 26 16 42 2008There are 4 arguments to the system task.There are 0 arguments to the system task.There are 0 arguments to the system task.There are 1 arguments to the system task. V C S S i m u l a t i o n R e p o r tTime 0CPU Time 0.000 seconds; Data structure size 0.0MbWed Nov 26 16 42 02 2008CPU time .030 seconds to compile + .020 seconds to link + .040 seconds in simulation ModelSim unix windows まだ試してない Veritak まだ購入してない メモ 名前 link_pdfプラグインはご利用いただけなくなりました。 -
https://w.atwiki.jp/azuma3733/pages/769.html
#blognavi ~一般サイトニュース~ http //abnormal.sakura.ne.jp/entry/log_1707.php ■アイドルマスター、ニンテンドックス商法でPSPに進出決定!!! まさか未開封PSPがこんなところで役に立とうとは・・・。 まぁたかねひびきを含む美希参加の4本目は確実ですよね?よね? その前にL4Uやらないと・・・。ライブの重大発表涙目wwww http //hatimaki.blog110.fc2.com/blog-entry-538.html ■アイドルマスター新作がPSPで発売となるまで 確かに、ポ○モンみたいだなwwwこう見るとすごい展開してるんだなぁ。。 http //workingnews.blog117.fc2.com/blog-entry-1313.html ■元声優マネージャーだけど質問ありますか? 黒い噂とはかけ離れた白い世界(一部ダーク有) 1つ言えることは、映画の吹き替えとかで俳優らを使うのはやめてとしか。回してあげて。 http //ksklog.blog108.fc2.com/blog-entry-751.html ■この商品説明欄おかしいぞwwwwwwwww あああああああああああああああああああああああああああああああああああああああ http //www.asahi.com/science/update/0722/OSK200807220073.html ■巨大ブラックホールの起源は「双子の合体」 京大が計算 どんどん解明してほしいです。 http //waranote.blog76.fc2.com/blog-entry-1246.html ■女声の出し方教えます なんでこんな才能持ちが多いんだよwwww ~今日のオススメ~ http //www.nicovideo.jp/watch/sm4049009 ■[手書き]東方マンガをかいてみた2 シリアスなのか矢なのかどっちwwwうごきすぎです、はい。紫様最高だよ紫様。藍様wwww ひやすぞーは是非欲しい!きもけーねはきもくないよね!ちぇんゴーレムとかフラグじゃないかww なんだこの2人の戦いはwwww保護者GJwwwwえーきさまピチュ-ン。おまけが本編基本ですね! http //www.nicovideo.jp/watch/sm4038442 ■ちぇんの贈り物 東方手書き らんぽっぽ可愛すぎるよwww背中語りすぎだよwww可愛いの多すぎだよwww ピピピピピピピピピピピピピピピチェエエエエエエエエエエエエエエンン!!!!!!!! http //www.nicovideo.jp/watch/sm4038109 ■【東方】パワプロクンポケット 幻想郷編OP【パワポケ】 なんなんですかこの展開wwww自然すぎてびっくりだぜ。ニコニコのネタも満載だし。。 http //www.nicovideo.jp/watch/sm4037862 ■へんたい東方 前編 再生数5千とか余裕じゃないですか。キャラ崩壊・・・少しだと・・・?⑥wwwwww http //www.nicovideo.jp/watch/sm4040403 ■スマキで幻想入り 第1話 ペンタブなし・・・だと・・・?東方>>>公務員試験ww 動くぞこのOP!!!?掘ったぞ! ちょwwwwこの藍様耐えられないっすwwwwwwwwwwwwwwwwwwwwwwww 開始早々EDwwwwムチャシヤガッテwwww紅霧院 堕太wwww http //www.nicovideo.jp/watch/sm3983692 ■涼宮ハルヒ達を水着とかにしてみた これは・・・プロの仕業すぎる・・・。おまけ長いよ!!!! http //www.nicovideo.jp/watch/sm4041998 ■アイマスっぽく色々トレスしてみた こwwwれwwwはwwwひwwwどwwwいwww 紳士ってレベルじゃwww ~ランキング~ http //www.nicovideo.jp/watch/sm4038370 ■週刊ニコニコランキング #63 蘭ちゃんがお休みする理由は・・・。だめだこの国wwwまるおさんもランクイン!1位ありがとう! http //www.nicovideo.jp/watch/sm4038334 ■週刊VOCALOIDランキング #42 先週の1位から繋がる30位www遂にB★RSがスイーツに!?1位は予想通りの16歳でした。 ~ニコニコ動画~ http //www.nicovideo.jp/watch/sm4053797 ■ドラクエ5 -スライムだけで世界は救えるか- part6 遂に・・・遂に違う土地へ・・・。相変わらずうp主米がwwwスミスヘンリーw http //www.nicovideo.jp/watch/sm4043251 ■【遊戯王MAD】 シルバー巻いてほしい王様 後半シルバーのことでキれた王様がいいwwwwwwwww http //www.nicovideo.jp/watch/sm4035097 ■【遊戯王】強靭!無敵!最強!エネコンメドレー【コメ職人完備】 あれ、10分ってこんな短かったっけ・・・?飽きの来ない社長です。 http //www.nicovideo.jp/watch/sm4036588 ■スーパー藤岡デラックス[スカイハイ] 前回にも増してひどい藤岡ワールドwwwおかわりは藤岡でいいような気がしてきたw ~京アニ関連~ http //www.nicovideo.jp/watch/nm4008793 ■【らき☆すた】みなぎるつかさ こwwwれwwwもwwwひwwwどwwwいwww 海外に誤解をさせる程度の動画ですね。 http //www.nicovideo.jp/watch/sm4035862 ■【涼宮ハルヒの憂鬱MAD】 First Good-Bye これは久々清純ハルヒMAD。この歌やっぱいいなぁ。 ~東方関係~ http //www.nicovideo.jp/watch/sm4040796 ■【東方】みなぎるれみりゃ れwwみwwりゃwwってwwきwwたww カリスマブレイクってレベルじゃねーぞwww ~歌って・演奏して・描いてみた~ http //www.nicovideo.jp/watch/sm4039726 ■ぼくらの『アンインストール』MyMIX verはどうでしょう【ずっとRe 】 社長の使い方に定評ありすぎwww知ってるとありえないんだけどあってるwwww 後半は感動、見せ方かっこよすぎです。おまけが本編ですwwwww最後wwwww http //www.nicovideo.jp/watch/sm4019149 ■アニメトランスっぽい物を集めてみました 『ニコトランス集めてみた』 すっごく長いですが繋ぎすごいうまいです。2週目は作業BGMになります^^ http //www.nicovideo.jp/watch/sm4040142 ■METAL of AQUARION 時計本気出しすぎwwwサーセンwww久々のMETALシリーズです。最強はJ様の友人。 ~VOC@LOID~ http //www.nicovideo.jp/watch/nm4041289 ■【勝手に】ボカロ5人で「夢みることり」【合わせてみた】 イケレンすぎるぜ・・・!ボカロ家族合わさるとすごい相乗効果生まれますよね。 http //www.nicovideo.jp/watch/sm4048581 ■【初音ミク】オリジナル曲「今日も負けた!」【プロ野球】 これは、いい。しみじみきたぜ・・・。笑顔が素敵すぎます。新たなMMDの見せ方ですね。 http //www.nicovideo.jp/watch/sm4047821 ■KAITOに星間飛行を歌ってもらった キラッ☆ サムネやべぇwww調教もいいよ!!!作者コメうめぇwwww ~アイドルマスター~ http //www.nicovideo.jp/watch/sm4039646 ■アイドルマスター【my song -雪月ノ星-】雪歩 千早 美希 サビのバック演出すげぇ・・・。まるでライブを見に行ってるような・・・。 http //www.nicovideo.jp/watch/sm4053847 ■アイドルマスター 音無小鳥 ID [OL] ピヨちゃん可愛すぎるよピヨちゃん!なんというPA(ry カテゴリ [ニュース日記] - trackback- 2008年07月24日 14 33 26 #blognavi